NVMain extension for multi-level cache systems

Research output: Contribution to book/conference proceedings/anthology/reportConference contributionContributedpeer-review

Abstract

In this paper, we present an extension of the NVMain memory simulator. The objective is to facilitate computer architects to model complex memory designs for future computing systems in an accurate simulation framework. The simulator supports commodity memory models for DRAM as well as emerging non-volatile memories technologies such STT-RAM, ReRAM, PCRAM and hybrid models. The current publicly available version of NVMain, NVMain 2.0, offers support for main memory (using DRAM and NVM technologies) and a die-stacked DRAM cache. We extend the cache model of the simulator by introducing an SRAM cache model and its supporting modules. With this addition, designers can model hybrid multi-level cache hierarchies by using the die-stacked DRAM cache and SRAM caches. We provide a reference implementation of an optimized cache organization scheme for die-stacked DRAM cache along with a tag-cache unit that, together, reduces cache miss latency. To enable integration of the new features in the existing memory hierarchy, we make necessary changes to the memory controller. We provide functional verification of the new modules and put forward our approach for timing and power verification. We run random mixes of the SPEC2006 benchmarks and observe ±10% difference in simulation results.

Details

Original languageEnglish
Title of host publicationProceedings of the Rapido 2018 Workshop on Rapid Simulation and Performance Evaluation
PublisherAssociation for Computing Machinery (ACM), New York
ISBN (electronic)9781450364171
Publication statusPublished - 22 Jan 2018
Peer-reviewedYes

Conference

Title2018 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, Rapido 2018
Duration22 January 2018
CityManchester
CountryUnited Kingdom

External IDs

ORCID /0000-0002-5007-445X/work/141545548

Keywords

Research priority areas of TU Dresden

Keywords

  • Cache Organization, Memory simulator, Row Buffer, SRAM Cache, Tag-cache