NVMain extension for multi-level cache systems

Publikation: Beitrag in Buch/Konferenzbericht/Sammelband/GutachtenBeitrag in KonferenzbandBeigetragenBegutachtung

Beitragende

Abstract

In this paper, we present an extension of the NVMain memory simulator. The objective is to facilitate computer architects to model complex memory designs for future computing systems in an accurate simulation framework. The simulator supports commodity memory models for DRAM as well as emerging non-volatile memories technologies such STT-RAM, ReRAM, PCRAM and hybrid models. The current publicly available version of NVMain, NVMain 2.0, offers support for main memory (using DRAM and NVM technologies) and a die-stacked DRAM cache. We extend the cache model of the simulator by introducing an SRAM cache model and its supporting modules. With this addition, designers can model hybrid multi-level cache hierarchies by using the die-stacked DRAM cache and SRAM caches. We provide a reference implementation of an optimized cache organization scheme for die-stacked DRAM cache along with a tag-cache unit that, together, reduces cache miss latency. To enable integration of the new features in the existing memory hierarchy, we make necessary changes to the memory controller. We provide functional verification of the new modules and put forward our approach for timing and power verification. We run random mixes of the SPEC2006 benchmarks and observe ±10% difference in simulation results.

Details

OriginalspracheEnglisch
TitelProceedings of the Rapido 2018 Workshop on Rapid Simulation and Performance Evaluation
Herausgeber (Verlag)Association for Computing Machinery (ACM), New York
ISBN (elektronisch)9781450364171
PublikationsstatusVeröffentlicht - 22 Jan. 2018
Peer-Review-StatusJa

Konferenz

Titel2018 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, Rapido 2018
Dauer22 Januar 2018
StadtManchester
LandGroßbritannien/Vereinigtes Königreich

Externe IDs

ORCID /0000-0002-5007-445X/work/141545548

Schlagworte

Forschungsprofillinien der TU Dresden

Schlagwörter

  • Cache Organization, Memory simulator, Row Buffer, SRAM Cache, Tag-cache