Soft error-aware architectural exploration for designing reliability adaptive cache hierarchies in multi-cores

Publikation: Beitrag in Buch/Konferenzbericht/Sammelband/GutachtenBeitrag in KonferenzbandBeigetragenBegutachtung

Beitragende

Abstract

Mainstream multi-core processors employ large multilevel on-chip caches making them highly susceptible to soft errors. We demonstrate that designing a reliable cache hierarchy requires understanding the vulnerability interdependencies across different cache levels. This involves vulnerability analyses depending upon the parameters of different cache levels (partition size, line size, etc.) and the corresponding cache access patterns for different applications. This paper presents a novel soft error-aware cache architectural space exploration methodology and vulnerability analysis of multi-level caches considering their vulnerability interdependencies. Our technique significantly reduces exploration time while providing reliability-efficient cache configurations. We also show applicability/benefits for ECC-protected caches under multi-bit fault scenarios.

Details

OriginalspracheEnglisch
TitelDesign, Automation & Test in Europe Conference & Exhibition (DATE), 2017
ErscheinungsortLausanne
Herausgeber (Verlag)IEEE Xplore
Seiten37-42
Seitenumfang6
ISBN (elektronisch)978-3-9815370-8-6, 978-3-9815370-9-3
ISBN (Print)978-1-5090-5826-6
PublikationsstatusVeröffentlicht - 11 Mai 2017
Peer-Review-StatusJa

Publikationsreihe

ReiheDesign, Automation and Test in Europe Conference and Exhibition (DATE)
ISSN1530-1591

Konferenz

Titel20th Design, Automation and Test in Europe, DATE 2017
Dauer27 - 31 März 2017
StadtSwisstech, Lausanne
LandSchweiz