Analysis of Synchronous-Asynchronous NoC for the Dark Silicon Era

Publikation: Beitrag zu KonferenzenPaperBeigetragenBegutachtung

Beitragende

  • Reem W. Etman - , German University in Cairo (Autor:in)
  • Salma Hesham - , German University in Cairo, Ruhr-Universität Bochum (Autor:in)
  • Klaus Hoffman - , Technische Universität Darmstadt (Autor:in)
  • Mohamed Abd El Ghany - , German University in Cairo, Technische Universität Darmstadt (Autor:in)
  • Diana Göhringer - , Professur für Adaptive Dynamische Systeme (Autor:in)

Abstract

Future chips are anticipated to feature hundreds of on-die resources, but a significant portion of silicon area in these chips will be powered-off or “dark”. As a result, only a limited number of cores of future processors can be powered on simultaneously. In this paper, a novel NoC architecture is proposed, called Dark Silicon Synchronous-Asynchronous (DSSA) NoC, that offer a promising solution from the latency perspective in consideration to the number of hops from source to destination. In this design two layers of architecturally analogous synchronous and asynchronous TDM routers are integrated, leveraging the extra transistors available due to dark silicon for a hard real-time multiprocessor platform. At a given time, at most, only one of the network layers is illuminated while the other is dark. Layer selection performed at the granularity of router port with applied power gating technique uniquely in a source routed TDM router. To verify the design, a4×4 bitorus synchronous, asynchronous and DSSA NoCs are implemented in 90-nm CMOS technology and the results are compared in the fields of area, speed, latency and power consumption, which shows improved message latency by DSSA NoC. The results further show the effects of the power gating technique to the TDM NoC, which offers up to 50% power savings in comparison to the conventional synchronous and asynchronous TDM NoCs. This illustrates DSSA as an improved latency NoC solution in future dark silicon chips.

Details

OriginalspracheEnglisch
Seiten1-7
Seitenumfang7
PublikationsstatusVeröffentlicht - 2018
Peer-Review-StatusJa

Konferenz

Titel2018 IEEE Nordic Circuits and Systems Conference
KurztitelNorCAS 2018
Veranstaltungsnummer4
Dauer30 - 31 Oktober 2018
OrtTallink Spa & Conference Hotel
StadtTallinn
LandEstland

Externe IDs

ORCID /0000-0003-2571-8441/work/142240436

Schlagworte

Forschungsprofillinien der TU Dresden

Schlagwörter

  • asynchronous circuits, multiprocessing systems, network routing, network-on-chip, power consumption, TDM NoC, conventional synchronous TDM NoCs, asynchronous TDM NoCs, improved latency NoC solution, 4 X 4 bitorus synchronous-asynchronous NoC, CMOS technology, hard real-time multiprocessor platform, synchronous TDM routers, dark silicon synchronous-asynchronous NoC analysis, TDM router, applied power gating technique, layer selection, network layers, asynchronous TDM routers, novel NoC architecture, Real-time systems, Network interfaces, Switches, Routing protocols, NoC, real-time systems, time-division multiplexing (TDM), elemental semiconductors, integrated circuit design, silicon, DSSA NoC, future dark silicon chips, on-die resources, dark silicon era, router port, real-time multiprocessor platform, size 90 nm, Si, Time division multiplexing, Silicon, Pipeline processing, asynchronous design, Dark-Silicon, synchronous design